首頁 本會簡介 最新消息 榮譽獎項 入會申請 會務活動 聯絡方式
 
   
  沈文仁教授紀念獎
      沈文仁博士生平事略
      管 理辦法
      申 請辦法
      得獎名單

 
  博碩士論文獎

    申請辦法

    得獎名單


  傑出年輕學者獎

    申請辦法

    得獎名單
   
 
  入會申請
 
   
         
   

榮 譽 獎 項

   
 
     
   
 

本年度沈文仁教授紀念獎得獎名單

第十二屆


潘敏治 副研究員




 

歷屆得獎名單

 


第十一屆

蔡志忠 技術長


 

第十屆

呂茂田 董事長


第九屆

吳敏求 董事長

 

(第八屆停辦)


第七屆

服務獎:邱進峯 副主任


年度論文獎:

得獎者 指導教授 論文題目 發表會議/期刊 發表時間

謝協宏

呂良鴻 Design of Ultra-Low-Voltage RF Frontends with Complementary Current-Reused Architectures  IEEE Transactions on Microwave Theory and Techniques 2007/7/1 

陳泰蓁

張耀文 Multilevel Full-chip Gridless Routing with Applications to Optical-proximity Correction  IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems (TCAD) 2007/6 

簡志達

郭峻因 A 252K Gates/71mW Multi-Standard Multi-Channel Video Decoder for High Definition Video  IEEE International Solid-State Circuits Conference (ISSCC 2007) 2007/2/14
 

 

第六屆

服務獎:
任建葳 榮譽退休教授

年度論文獎:

得獎者 指導教授 論文題目 發表會議/期刊 發表時間

林建璋

郭峻因 A 160K Gates/4.5KB SRAM H.264 Video Decoder for HDTV Application
應用於高畫質電視應用之160K Gates/4.5KB SRAM H.264視訊解碼器
 Internationl Solid-State Cirsuits Conference(ISSCC) 2006年2月 

楊榮吉

劉深淵 A 155.52 Mbps -3.125 Gbps Continuous-Rate Clock and Data Recovery Circuit
155.52 Mbps-3.125 Gbps 連續速率的時脈資料回復電路
 IEEE Journal of Solid-State Circuit 2006年6月 

陳東傑

張耀文 Modern Floorplanning Based on B*Tree and Fast Simulated Annealing
基於B*樹和快速模擬退火法的現代化模組擺置
 IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems(TCAD) 2006年4月
 

 

第五屆

服務獎:思源教育基金會 陳調鋌 執行長

年度論文獎:

得獎者 指導教授 論文題目 發表會議/期刊 發表時間

陳冠宏

郭峻因
王進賢

An Energy-Aware IP Core Design for the Variable-Length DCT/IDCT Targeting at MPEG4 Shape-Adaptive Transforms IEEE Transactions on Circuits and Systems for Video Technology 2005.5

李建毅

李毅郎

An Efficient Tile-Based ECO Router Using Routing Graph Reduction and Enhanced Global Routing Flow IEEE Transactions on Computer-Aided Design 2005.4

 

第四屆

服務獎:國家晶片系統設計中心 王建鎮 副主任

年度論文獎:

得獎者 指導教授 論文題目 發表會議/期刊 發表時間

林昱偉

李鎮宜

sor for DVB-T Applications IEEE Journal of Solid-State Circuits 2004.11

王義明

王進賢

A low-power half-delay-line fast skew-compensation circuit IEEE Journal of Solid-State Circuits 2003.6

 

 

 

第三屆

服務獎:教育部顧問室  藍曼琪小姐

年度論文獎:

得獎者 指導教授 論文題目 發表會議/期刊 發表時間

林棋勝

劉濱達

A Low-Power Precomputation-Based Fully Parallel Content Addressable Memory IEEE Journal of Solid-State Circuits 2003.4

 

第二屆

服務獎:
徐爵民 博士

年度論文獎:

 

得獎者 推薦者 論文題目 發表會議/期刊 發表時間

吳奇峰

吳誠文

劉靖家

Fault Simulation and Test Algorithm Generation for Random Access Memories IEEE Trans. on Computer-Aided Design of Integerated Circuits and Systems 2002.4

王俊堯

周景揚

黃婷婷

ON Automatic-Verification Pattern Generation for SoC With Port-Order Fault Model IEEE Trans. on Computer-Aided Design of Integerated Circuits and Systems 2002.4

黃崇勛

王進賢

葉經緯

Design of High-Performance CMOS Priority Encoders and Incrementer/Decrementers Using Multilevel Lookahead and Multilevel Folding Techniques IEEE Journal of Solid-State Circuits 2002.1

鄭秋宏

吳重雨

柯明道

A Learnable Cellular Neural Network Structure With Ratio Memory for Image Processing IEEE Transactions on Circuits and Systems-I: Fundamental Theory and Applications 2002.12

王家慶

王駿發

李昆忠

Chip Design of Portable Speech memopad Suitable for Persons with Visual Disabilities IEEE Transactions on Speech and Audio Processing 2002.11

張皓傑

陳良基

吳安宇

VLSI Architecture Design of MPEG-4 Shape Coding IEEE Transactions on Circuits and Systems for Video Technology 2002.9

 

 

 

第一屆

服務獎:
黃振昇博士

年度論文獎:

得獎者 推薦者 論文題目 發表會議/期刊 發表時間

吳政勳

周世傑

吳安宇

Modified vector rotational CORDIC(MCR-CORDIC) IEEE Trans. Circuits and Systems , Analog and Digital Singal Processing 2001.6

林家民

周景揚

張耀文

TCG: A Transitive Closure Graph-Based. Representation for Non-Slicing Floorplans ACE/IEEE Design Automation Conference 2001.6

張錫嘉

任建葳

李鎮宜

A Reed-Solomon Product-Code(RS-PC) Decoder Chip for DVD Applications IEEE Journal of Solid State Circuit 2001.2

鄧光鎧

汪重光

曹恆偉

A Fast-Lock Mixed-Mode DLL
Using a 2-b SAR Algorithm 
IEEE Journal of Solid State Circuit 2001.10

鄭經華

張世杰

鍾文邦

Charge Sharing Alleviation and Detection  for CMOS Domino Circuits IEEE Trans. On Computer-Aided Design ofIntegrated Circuits and Systems 2001.2

 

   
 
 
  300新竹市光復路2段101號國立清華大學電通中心 台達館611室
TEL:
03-5162252  FAX:03-5742408
Best Viewed 1024*768 IE 5.5 + , All Rights Reserved , Produced by Hsiao-Yu Wang.